Anasayfa Makale Intel Neden Nanometre İsimlendirmesine Son Veriyor?

Intel Neden Nanometre İsimlendirmesine Son Veriyor?

Intel Grafik Sürücüsü 27.20.100.9126

Intel duyurduğu teknolojilerle nanometre kavramını ortadan kaldırmakla kalmıyor, aynı zamanda silikon işlemcilerin de altın çağını, angstrom devrini başlatıyor.

AMD’nin Ryzen işlemcileriyle son birkaç yılda oldukça zor duruma soktuğu Intel, pazarı tekrardan ele almak adına geliştirdiği teknolojileri piyasaya sunma konusunda istekli. Fakat bu yeniliklerin öyle yenilir yutulur cinsten şeyler olmadığını, silikon işlemciler için milat niteliğinde bir gelişmenin kapısını araladığını söyleyebiliriz.

Az buçuk teknoloji gündemini takip eden biriyseniz, Intel dışında işlemci üreten AMD dahil birçok firmanın maliyetleri azaltmak adına dış kaynak üretim tesislerine yöneldiğini mutlaka görmüşsünüzdür. Intel ise kendisine bu yönde yapılan o kadar eleştiriye rağmen dış kaynak üretim tesislerine yönelmeye pek sıcak bakmıyordu.

Bunun neticesinde Intel kendi üretim teknolojilerini geliştirmeye devam edip fabrikalarına yatırım yaparken, AMD’nin ise TSMC’nin gelişmiş litografi teknolojilerini kullanarak Intel’e kıyasla daha verimli işlemciler ürettiğine hepimiz şahit olduk. Pazar gitgide AMD tarafına kaymaya başladı. TSMC ile işlemcilerde ölçü olarak da farklı birimler kullanan Intel’in ismi kötü anılmaya başlandı.

Nanometre neden terk ediliyor?

AMD 7nm TSMC üretimine geçiş yapmışken, Intel 14 nm+++ ile devam ediyordu. Bu yüzden işlemci pazarında alıcı kitlesinde şöyle bir algı oluşmaya başladı: “AMD 7nm iken, Intel halen 14 nm kaldı, hatta 10 nm işlemciyi bu kadar zamanda anca üretebildi”. Oysa bunun herhangi bir gerçekliği yoktu, Intel’in bir sonraki nesilde geçmeyi planladığı 10 nm üretim teknolojisi zaten TSMC’nin 7nm teknolojisine yakındı. Bu nedenle Intel gitgide pazar payı kaybetmeye devam etti, forum dedikodularında uzun uzun nanometre tartışmaları aldı başını gitti.

İşte bu yüzden Intel, nihayet node’ları için TSMC üretimi ile eşleşecek şekilde kullandığı üretim terminolojisini güncelliyor. Şirket bununla birlikte birtakım yeni teknolojileri de duyurdu. Olayın arka planına dair yapmış yapmış olduğumuz bu özetten sonra tanıtılan yeni terimlerden ve Intel’in kurmayı planladığı yeni üretim merkezi için geliştirmiş olduğu teknolojilerden bahsetmeye başlayabiliriz.

Intel Alder Lake Özellikleri

Intel Yenileniyor

Intel’in Accelerated etkinliğinde Intel CEO’su Pat Gelsinger gelecekteki üretim node’ları için ayrıntılı bir yol haritasını açıkladı. Ayrıca 2025 yılına kadar performans odaklı işlemcilerde olan liderliği daha kalıcı bir zemine oturtmak için inovasyon odaklı üretim haritalarını hızlandırmaya karar verdiklerini duyurdu. Gelsinger ayrıca Intel’in kurucusu ve aynı zamanda fizikçi George Moore tarafından ortaya atılan Moore yasasını da şu sözleriyle es geçmedi: “Moore yasasını devam ettirme arayışımızda silikon büyüsüyle yenilikler yapmakta acımasız olacağız”.

Intel’in CEO’sunun şirket hakkında bu şekilde konuşmalar yapmasına aslında sevinmek gerekiyor. “Intel pazardan çekilecek mi yoksa IBM gibi yapay zeka bilgisayarlarına mı odaklanacak?” söylentileri sektöre hakimken, Intel en iyi bildiği işi yapacağını ciddi bir şekilde ilan etmiş oldu diyebiliriz. İyi bir rekabetin de her zaman kullanıcılara artı olarak döndüğünü de unutmamak gerekiyor.

Bu şirket hedeflerini hızlandırma ve yeniden pazarlama stratejisi, Intel’in 23 Mart 2021 yılında web sitesinde duyurmuş olduğu IDM 2.0 projesi için de oldukça önemli bir hamle. IDM 2.0 ile Intel, Arizona’da 20 milyar dolar yatırımla iki adet fabrika daha açacağını ve dünya çapındaki müşterilere hizmet vermek için ABD ve Avrupa’da büyük bir üretim merkezi kuracağını söylemişti. Buradan yola çıkarak Intel’in yeni standartlar ile Asyalı TSMC’nin rakibi olmayı planladığını söyleyebiliriz. Intel işte tam da bu nedenle ölçüm yöntemini birbirileriyle uyumlu olacak şekilde belirledi diyebiliriz. Böylece TSMC ile kendi aralarında doğacak olan rekabeti daha iyi analiz edebilecek, hamleler yapabilecek.

Yeni Terminoloji

Endüstrinin zaten halihazırda transistörlerin gate uzunluğunu ölçmek için kullanılan nanometre biriminden ziyade zaman geçtikçe daha küçük boyutları da anlaşılır bir şekilde ifade etmek için yeni bir terminolojiye ihtiyaç duyduğu bariz görülüyordu. Transistörlerin üç boyutlu hale geldiği zamandanlardan beri yani 2011 yılında FinFET’e (Intel terminolojisinde Tri-Gate olarak geçer) geçiş süreciyle beraber tek boyutlu ölçüm zaten işlemci üretim teknolojilerinden alakasız bir hale gelmişti.

Intel yine de bunu düzeltmek için biraz geç kaldı diyebiliriz. AMD, üretim ortağı olan TSMC ile beraber nominal olarak 7nm olan işlemciler piyasaya sürüp pazarlama stratejisini ilerletirken Intel ise 14 nm node’larda çürüyor gibi gözüktü. Fakat gerçekte transistör yoğunluğu söz konusu olduğunda Intel’in 10nm node’ları, TSMC üretimi 7nm node’lara çok daha yakındı.

Intel 7 nedir?

Intel bunun farkına varmış olacak ki, bütün bu saçmalığın üstüne bir çizgi çekiyor ve nanometre kavramını tamamen ortadan kaldırıyor. Yaklaşmakta olan yeni Alder Lake işlemcileri oluşturan Enhanced SuperFin node’larından yola çıkarak artık özgü bir ölçüm kuralı kullanılacağını duyuruyor. İlk olarak nominal 10nm Enhanced SuperFin node’ların yeni adı Intel 7 olacak. Bu doğrudan TSMC’nin N7 üretimine denk bir üretim süreci diyebiliriz.

Intel 7 ile beraber toplu üretim sürecinde bu node’ların önceki klasik 10nm SuperFin’e göre watt başına %10 ila %15 performans kazancı sağladığı biliniyor. Bu nedenle Intel bu üretim teknolojisine yeni bir ad vermenin doğru olduğunu düşünüyor. Bu yenilenme sürecinde beklenebilecek olağan bir değişiklik. Intel şüphesiz pazarlarda bunu çok iyi şekilde kullanacaktır.

Daha sonra eğer nanometreler kalkmasaydı 7nm olarak anılacak olan ve TSMC’nin N4 üretim sürecine rakip olarak çıkacak Intel 4’ü görüyoruz. Intel 3 ve Intel 20A ile de silikonun sınırları zorlanacağa benziyor.

Intel Accelerated etkinliğinde gösterilen görsele göre bu yeni süreçlerle aşağıdaki performans kazanımları ve teknolojiler silikon dünyasına gelecek. Görselde yazanlara sırayla değinecek olursak,

Intel 7

FinFET transistörlerinin geliştirilmesine dayalı olarak geliştirilen bu mimari, Intel 10nm SuperFin’e kıyasla watt başına yaklaşık olarak %10 ila %15 arası performans artışı sağlayacak. 2021 yılında Alder Lake mimarisinde ve 2022’nin ilk çeyreğinde Sapphire Rapids veri merkezi işlemcileri için üretime geçmesi beklenen işlemcilerde kullanılacak.

Intel 4

Ultra kısa dalga boylu ışıklar kullanılarak inanılmaz derecede küçük teknolojileri işlemcilerin içine yerleştirmek için EUV litografiden tamamen faydalanılacak. Alan konusunda sağlanan iyileştirmelerin yanı sıra, watt başına ise %20’lik bir performans artışı sunulacak. Intel 4, son kullanıcılar için Meteor Lake ve Granite Rapids mimarilii veri merkezi işlemcileri 2023’te çıkış yapacak. 2022’nin ikinci yarısında ise üretime hazır hale gelecek.

Intel 3

Intel 4’e kıyasla yapılan ek alan iyileştirmelerinin yanı sıra watt başına %18’lik bir performans artışı sağlamak amacıyla FinFET’ten ve geliştirilmiş EUV’dan yararlanacak. 2023 yılının ikinci yarısında üretime hazır olacak.

Intel 20A: Angstrom Devri

20A ile Intel, iki adet yeni ve çığır açan RibbonFET ve PowerVia ile işlemcilerin angstrom çağını başlatıyor. Intel’in çok yönlü geliştirdiği bir transistör olan RibbonFET, 2011’de FinFET’i çıkardığından bu yana şirketin ilk yeni transistör mimarisi olacak. Bu teknolojiyle beraber daha küçük bir alanda birden fazla kanatçık yardımıyla sürücüler eklerken, daha hızlı transistörler sağlayacak. PowerVia ile ise Intel’in endüstride güç konusunda yapmış olduğu bir yenilik. Arka güç dağıtımı tekniği olan PowerVia, yonganın ön plakasında güç yönlendirme ihtiyacını ortadan kaldırarak sinyallerin iletimini daha optimize hale getiriyor. Intel 20A’nın ise 2024’te üretimde olması bekleniyor.

2025 yılı ve sonrası

Intel 20A’nın ötesinde, Intel 18A yeni transistör teknolojisi olan RibbonFET’e yapılan optimizasyonlarla beraber zaten 2025’in başlarında geliştirilmeye başlanacak. Intel ayrıca yeni nesil High NA EUV teknolojisini de geliştirmeye çalışacak. Bu nedenle sektörde bu alandaki ilk üretim aracını almak için ASML ile yakın işbirliği içinde bulunuyor.

Intel Neden İsim Değişikliğine Gidiyor?

Şüphesiz ki bütün olay sadece yenilikten ibaret değil. İşin içinde biraz da kurulacak olan üretim merkezinin pazarlaması söz konusu. Kullanıcı kesiminden karşılık buldukları takdirde büyük üreticilerin de Intel’in dökümhanelerine yönelmeye başlamasına şaşırılmamalı. Yine de farklı üreticilerin çiplerinden de bahsetmeye devam ederken umarız yeni teknikler daha detaylı hale gelir.

Bundan sonra, 2023’ün sonunda teslim edilecek olan Intel 3’ün ötesinde işlerin çok ilginç bir hale büründüğü yer ise 2024 yılında çıkacak olan Intel 20A ile yeniden ad değiştirilmesi. Yarı iletkenlerin angstrom çağının ilk node’una Intel 20A adının verilmesi 1nm’nin altında nominal üretimin hedeflendiğinin göstergesi.

Angstrom nedir?

Burası ayrıca Intel’in basitleştirdiği node adlandırmasını tekrardan karıştırdığı yer olabilir. 1 angstrom kelimenin tam anlamıyla 0.1 nanometreye eşit olan 1nm altı bir ölçüm birimidir. Ancak Intel, Intel 20A teknolojisindeki A harfinin angstrom anlamına gelmesine rağmen bunun ölçümü değil sadece mimariyi ifade eden bir isim olduğunu belirtmekte zorluk çekiyor. Yani Intel 20A, 2 nm transistörleri ölçen bir süreç sanılmamalı zira öyle olmayacak.

Bu yeni angstrom yongaları Intel’in yakalamayı umduğu yeni adlandırma kurallarıyla gelmekle kalmayacak ayrıca 2011 yılında ilk olarak 22 nm olarak çıkan FinFET’ten bu yana geliştirilen ilk yeni Intel transistörü olan RibbonFET ile gelecek. NanoSheet veya GAA olarak da bilinen RibbonFET, 2024’te Intel 20A ile piyasaya çıkacak.

Intel 20A ayrıca PowerVia adı verilen yeni bir güç dağıtım modelini de kendisiyle beraber getirecek. Sinyal iletimi daha optimize hale gelecek ve üretim sürecine oldukça katkıda bulunacak.

Bunların hepsi son kullanıcı için bakıldığında oldukça teknik konular fakat biraz da geleceğe yönelik tahmin. Intel zaten 2025 yılında 18A ile beraber watt başına işlem performansı konusunda çoktan lider olmuş olmayı planlıyor. Intel bunun performans yarışından çok daha farklı bir şey olduğunu ısrarla bildirse de, son kullanıcıların umursadığı tek şey elbette ki performans ve güç tüketimi olacak.

Kullanıcılar, asıl istedikleri şeyi yani performans liderliğini AMD bir hamle yapmadığı sürece ilerleyen yıllarda eskiye benzer şekilde Intel’de bulacak gibi gözüküyor.