AMD Radeon

AMD ve Microsoft, TensorFlow-DirectML Teknolojisi İçin İşbirliği Yaptı

1
DirectX 12 destekli Radeon ekran kartlarıyla birlikte Windows işletim sisteminde yapılacak makine öğrenimi çalışmaları artık çok daha hızlı. Geniş bir donanım yelpazesinin yanı sıra özellikle...

NVIDIA’nın Yeni Madencilik GPU’su Yolda: Pasif Soğutma ile Geliyor

0
NVIDIA'nın kripto para madenciliği için tasarladığı CMP 170HX Twitter'da ortaya çıktı. Bu yeni mining ekran kartının görüntüleri, pasif soğutma ile gelen bir canavar gibi gözüküyor....

Lazer Tabanlı İletişim Sistemi, 5 Kilometrelik Mesafeye Yüksek Hızlı Veri İletebiliyor

0
Project Taara, fiber optik kablolarla iletişimin oldukça pahalı olduğu bölgelerde kullanılmak üzere geliştirildi. Alphabet, lazer tabanlı bir iletişim sistemi olan Project Taara'nın (20 Gbps) prototip...

Intel, Sürücüsüz Taksi Hizmeti İçin İlk Adımını Attı

0
Donanım üreticisi ve tasarımcısı Intel, yan kuruluşlarıyla birlikte elektrikli otonom araçlarını görücüye çıkardı. Intel, yan kuruluşu Mobileye'nin gelecek yıl Münih ve Tel Aviv'de otomatik bir...

Samsung, Teksas’ta 17 Milyar Dolarlık Çip Üretim Tesisi Kurmayı Planlıyor

0
Yarı iletken üretimindeki açığın kapanması için dünyanın belirli bölgelerine yapılan yatırımlar hızla artıyor. Küresel ölçekte teknolojik cihazlara olan talep artmaya devam ediyor ve bu da...
Araştırmalara Göre Yapay Zeka İnsanlardan Daha Tutarlı Karar Alabiliyor

Yapay Zeka, İnsanlardan Daha Tutarlı Karar Alabiliyor

0
Pandemi dönemiyle birlikte yapay zeka üzerinde yapılan çalışmalar oldukça hız kazandı. Journal of Applied Behavior Analysis dergisinde yayımlanan son rapora göre, hastaların davranış problemlerini...
Japonya Çip

Çip Kıtlığı Teknolojik Aletlerin Fiyatlarını Nasıl Etkileyecek?

0
Pandemi koşulları ve teknolojik cihazlara artan talep nedeniyle yonga üreticilerinin tamamı fiyat artışları yapmayı planlıyor. TSMC ve Samsung Foundry gibi yarı iletken devlerinin yakında fiyat...

Facebook, Sunucuları İçin Kendi Yapay Zeka Çiplerini Geliştiriyor

0
Büyük sunuculara sahip teknoloji devleri, hem maliyet hem de bağımlılığı azaltmak amacıyla kendi yongalarını tasarlamaya çalışıyor. Facebook, kendi özel ARM tabanlı silikonunu tasarlayan şirketlerin arasına...

GPU Destekli Derin Öğrenme Modeli, Erken Alzheimer Belirtilerini %99 Doğrulukla Tespit Etti

1
GPU'ların kullanıldığı derin öğrenme algoritması, gelecekte Alzheimer hastalığının tespit sürecinde önemli bir rol oynayabilir. Litvanya'daki Kaunas Teknoloji Üniversitesi'nden araştırmacılar, beyin görüntülerinden Alzheimer hastalığının olası başlangıcını...
MediaTek MT9638

MediaTek, Kompanio 900T Yonga Setini Duyurdu

0
Tayvan merkezli silikon devi Mediatek, Kompanio 900T isimli yeni çipinin detaylarını açıkladı. Kompanio 900T, uzun pil ömrü sunan tablet ve Chromebook'larda yer alacak. Mobil çip...

InnoGrit’ten 7 GBps Hıza Ulaşan Uygun Fiyatlı SSD Kontrolcü: IG5221

0
InnoGrit tarafından üretilen IG5221 ve IG5220 kontrolcüler, uygun fiyatlı SSD'lerin üretiminde önemli rol oynayacak. InnoGrit, yüksek performansı ve nispeten düşük maliyetleri bir araya getiren ana...

Avrupa Birliği’nin Süper Bilgisayarında AMD ve ARM İmzası Bulunacak

0
En geç 2023 yılında tamamlanması beklenen ve kağıt üzerinde 400 petaflops güç sunan EuroExa, AMD EPYC, ARM Cortex ve Maxeler'in FPGA'ları ile inşa edilecek. Avrupa...
Mercedes-Benz VISION AVTR

Mercedes-Benz VISION AVTR Sistemleri Düşünceyle Kontrol Edilebiliyor

0
Mercedes-Benz VISION AVTR konsept otomobil, düşünceyle kontrol edilebilen sistemlere sahip. James Cameron klasiği Avatar'dan ilham alan Mercedes-Benz VISION AVTR aylardır vahşi görünümlü bir konsept otomobil...
Snapdragon 898

Snapdragon 898 Geekbench Testinde Görüntülendi

0
Mobil yonga üretiminde başta gelen şirketlerden Qualcomm'un amiral gemisi sınıfındaki akıllı telefonlara yönelik olan Snapdragon 898 işlemcisi Geekbench testinde ortaya çıktı. Qualcomm'un birkaç ay içinde...
CasMINI

Stanford, CasMINI Gen Düzenleme Sistemini Geliştirdi

0
Stanford Üniversitesi araştırmacıları, CasMINI adlı daha yeni ve daha küçük bir gen düzenleme sistemi yarattı. Stanford Üniversitesi araştırmacıları, CasMINI adını verdikleri CRISPR gen düzenleme sisteminin...

TSMC, Büyük Siparişleri Nedeniyle Apple’a Düşük Zam Uygulayacak

0
Müşterilerine %20 seviyesinde zam yapacağı söylenen TSMC, Apple için özel oranlar uygulayabilir. Yarı iletken dökümhanelerinin küresel çip talebini karşılamakta zorlandığı şu zamanlarda TSMC'nin silikon disk...

Kingston, En İyi DRAM Üreticisi Unvanını Koruyor

0
DRAM üretiminde liderlik koltuğunda %75'lik oranla Kingston oturuyor. TrendForce tarafından yayınlanan yeni bir raporda, 2020 yılındaki en iyi 10 DRAM bellek üreticisi listelendi. Kingston, dünya...

SMIC, Çin’in En Büyük Yarı İletken Fabrikasını Kurmaya Hazırlanıyor

0
Temelleri yakında atılacak olan yarı iletken tesisi, 28nm ve daha eski üretim teknolojilerini kullanacak. Çinli yonga üreticisi SMIC, Şangay yakınlarında Çin'in en büyük yarı iletken...
Tesla 2021 İlk Çeyrek

Tesla, Yeni Otomobillerin 2022 ve 2023 Yıllarında Çıkacağını Duyurdu

0
Dünyanın en büyük elektrikli araba üreticilerinden olan Tesla, yeni otomobiller ile alakalı açıklamada bulundu. Buna göre şirket, Cybertruck'ı 2022 yılında çıkarmayı hedefliyor. Ayrıca tam...
Samsung Foundry

Samsung, Yonga Fiyatlarını %20 Oranında Arttırmayı Planlıyor

0
Küresel çip talebine yanıt vermek isteyen ve büyük yatırımlar hedefleyen şirketler, yonga üretim fiyatlarında artışa gidiyor. Güney Koreli Samsung Group'un yarı iletken üretim bölümü Samsung...